Jaewon Lee
Research Scientist at Facebook

jaewon [at] fb.com
lee.jaewon [at] snu.ac.kr

spiegel0 [at] postech.ac.kr


# Full CV (2019.09)




// Research Interests

Improving Systems and Workloads with Modeling, Analysis, and Optimization
The scope includes (but is not limited to)
  . Large-Scale Systems and Emerging Workloads (Datacenters / AI)
  . Microarchitecture (Multi-/Many-core Processors)
  . Accelerators (FPGAs/GPUs)
  . Emerging Device Technologies (NVMs/Interconnects)


// Research Experiences

[2019 - Current] Facebook
Research Scientist (AI System Software/Hardware Co-design Team)


[2018 - 2019]
High Performance Computer System Lab, Seoul National University
Postdoctoral Researcher (Advisor: Prof. Jangwoo Kim)


[2012 - 2018] High Performance Computing Lab, POSTECH
Ph.D. Candidate (Advisors: Prof. Jangwoo Kim and Prof. Jong Kim)

[2017 Fall] Google (Mountain View / Platforms group)
Software Engineering Intern (Mentors: Dr. Changkyu Kim, Dr. Liqun Cheng, and Dr. Kun Lin)

[2016 Summer] Google (Mountain View / Platforms group)
Software Engineering Intern (Mentors: Dr. Changkyu Kim and Dr. Liqun Cheng)

[2013 Fall] Cloud Infrastructure Lab, Korea Telecom
Academia-Industry Joint Research Project


[2012 Fall] Microsoft Research - Silicon Valley
Research Intern (Mentors: Dr. John D.Davis and Dr. Eric S.Chung)


// Education
[2018 - Current] High Performance Computer System Lab, Seoul National University
Postdoc Researcher (Advisor: Prof. Jangwoo Kim)


[2012 - 2018]
High Performance Computing Lab, POSTECH
Ph.D. in Computer Science and Engineering (Advisors: Prof. Jangwoo Kim and Prof. Jong Kim)
Thesis: Fast, Accurate, and Efficient Performance Modeling of Computer Systems: From Processors to Datacenters

[2008 - 2012] Pohang University of Science and Technology (POSTECH)
B.S in Electrical Engineering & B.S in Computer Science and Engineering (Double Major)


// Publications (in chronological order)
Hanhwi Jang, Joonsung Kim, Jae-eon Jo, Jaewon Lee, and Jangwoo Kim
MnnFast: A Fast and Scalable System Architecture for Memory-Augmented Neural Networks
Proceedings of the 46th IEEE/ACM International Symposium on Computer Architecture (ISCA)
Phoenix, Arizona,
June 23-26, 2019.

Hanhwi Jang, Jae-eon Jo,
Jaewon Lee, and Jangwoo Kim
RpStacks-MT: A High-throughput Multi-core Processor Design Evaluation Methodology
Proceedings of the 51th IEEE/ACM International Symposium on Microarchitecture (MICRO)
Fukuoka
, Japan, Oct 20-24, 2018.

Dongup Kwon, Jaehyung Ahn, Dongju Chae, Mohammadamin Ajdari, 
Jaewon Lee, Suheon Bae, Youngsok Kim, and Jangwoo Kim
DCS-ctrl: A Fast and Flexible Device-Control Mechanism for Device-Centric Server Architecture
Proceedings of the 45th International Symposium on Computer Architecture (ISCA)

Los Angeles, California, June 2-6, 2018.

Jaewon Lee
Changkyu Kim, Kun Lin, Liqun Cheng, Rama Govindaraju, and Jangwoo Kim
WSMeter: A Performance Evaluation Methodology for Google's Production Warehouse-Scale Computers
Proceedings of the 23rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
Williamsburg, Virginia, March 24-28, 2018.

Jae-eon Jo, Gyu-hyeon Lee, Hanhwi Jang, Jaewon Lee, Mohammadamin Ajdari, and Jangwoo Kim
DiagSim: Systematically Diagnosing Simulators for Healthy Simulations
ACM Transactions on Architecture and Code Optimization (TACO), 2018.

Jaewon Lee
Hanhwi Jang, Jae-eon Jo, Gyu-hyeon Lee, and Jangwoo Kim
StressRight: Finding the Right Stress for Accurate In-development System Evaluation
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
Santa Rosa, California, April 24-25, 2017.

Jaewon Lee, Jaehyung Ahn, Choongul Park, and Jangwoo Kim
DTStorage: Dynamic Tape-based Storage for Cost-effective and Highly-available Streaming Service
Proceedings of the 16th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGrid)
Cartagena, Colombia, May 16-19, 2016.

Jaehyung Ahn, Dongup Kwon, Youngsok Kim, Mohammadamin Ajdari,
Jaewon Lee, and Jangwoo Kim
DCS: A Fast and Scalable Device-Centric Server Architecture
Proceedings of the 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Honolulu, HI, US, December 4-9, 2015.


Jaewon Lee
, Hanhwi Jang, and Jangwoo Kim
RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Cambridge, UK, December 13-17, 2014.

Youngsok Kim, Jaewon Lee, Jae-eon Jo, and Jangwoo Kim
GPUdmm: A High-Performance and Memory-Oblivious GPU Architecture Using Dynamic Memory Management
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture (HPCA)
Orlando, FL, USA, February 15-19, 2014.

Eric S. Chung, John D. Davis, and Jaewon Lee
LINQits: Big data on Little Clients
Proceedings of the 40th ACM/IEEE International Symposium on Computer Architecture (ISCA)
Tel-Aviv, Israel, June 23 - 27, 2013.

Youngsok Kim, Jaewon Lee, Donggyu Kim, and Jangwoo Kim
ScaleGPU: GPU Architecture for Memory-Unaware GPU Programming
IEEE Computer Architecture Letters (CAL), 2013.