SNU Banner

 Jangwoo Kim
  CEO and Founder of MangoBoost Inc.
  Professor at Seoul National University

Emailjangwoo /at/ snu.ac.kr
LabHigh Performance Computer System Lab
OfficeR902, B301
Phone+82-2-880-1868
Address  SNU, Gwanak-Gu, Seoul, Korea 08826

Biography

I am currently the CEO and founder of MangoBoost Inc, and a full professor for the Department of Electrical and Computer Engineering at Seoul National University (SNU).

MangoBoost is a Korea/US-based startup company which provides a next-generation server infrastruacture acceleration unit (a.k.a., DPU/IPU/xPU/smart-Xdevice) driven by 10+ years of divice-centric server acceleration works and various AI system acceleration works at SNU. After all, our DPU makes all commodity devices smart, while always minimizing the CPU load, and can be seamlessly deployed on the existing infrastructures. It can be easily customized/optimized for the customer applications.

Before joining academia, I was a CPU/system architect at Sun Microsystems and Oracle Corporation in Silicon Valley, USA. As a CPU architect in Architecture Group, I worked on performance modeling and verification of Sun/Oracle's flagship multi-core, multi-threaded SPARC T4 CPUs. I also worked on developing highly scalable cloud computing servers that use state-of-the-art virtual machine technologies.

Before joining Sun Microsystems, I earned my Ph.D. degree in Computer Engineering from Carnegie Mellon University. My advisor was Prof. Babak Falsafi and I also closely worked with Prof. James C. Hoe, Prof. Ken Mai, and Prof. Anastassia Ailamaki. At CMU, I worked mostly on defect- and fault-tolerant computer system architectures. I earned my BS degree in Electrical Engineering and M.Eng degree in Computer Science from Cornell University.


Research

My research lab: High Performance Computer System (HPCS) Lab

My current research projects: Research Projects
  - CPU, Server, and Datacenter Architectures
  - System Modeling, Simulation, and Analysis
  - AI and Neuromorphic Computer Systems
  - Cryogenic and Quantum Computer Systems
  - Acceleration Systems with System SW Supports


Education

Ph.D. Computer Engineering Carnegie Mellon University
M.Eng. Computer Science Cornell University
B.S. Electrical Engineering Cornell University


Employment

Associate/Full Professor Seoul National University (SNU) 2017 - Current
Assistant/Associate Professor Pohang University of Science and Technology (POSTECH) 2010 - 2016
CPU/System Architect Sun Microsystems (later acquired by Oracle), USA 2008 - 2010


Students

My students at SNU: Students


Teaching

@ Seoul National University
Digital Design (FA17, FA18, FA19)
Computer Architecture (SP17, SP18, SP19, FA21, SP22)
Advanced Computer Architecture (FA17, FA18, FA19, SP21, FA22)

@ POSTECH
Introduction to Computer Programming (FA10)
Introduction to System Programming (FA11, FA16)
Computer Architecture (SP11, SP12, SP13, SP14, SP15, SP16)
Operating System (FA15)
Advanced Computer Architecture (FA11, FA12, FA13, FA14)


Academic Service

2024: ASPLOS (PC), HPCA (PC), ISCA (PC)
2023: ISCA (PC), MICRO (PC)
2022: ASPLOS (PC), EuroSys (PC), HPCA (PC), ISCA (ERC)
2021: EuroSys (PC), HPCA (PC), ISCA (ERC), ISPASS (PC), MICRO (PC), PACT (PC)
2020: ISCA (PC), ISPASS (PC), MICRO (PC), PACT (PC), Top Picks (PC)
2019: FPT (PC), HPCA (ERC), ISCA (ERC), ISLPED (PC), ISPASS (PC), MICRO (ERC)
2018: ASPLOS (ERC), HPC-Asia (PC), ISCA (PC), ISLPED (PC)
2017: ASPLOS (ERC), ISCA (PC), ISLPED (PC), MCSOC (PC)
2016: ICCD (PC), HPCA (PC), ISCA (PC)
2015: IISWC (PC), SC (PC)
2014: ICCD (PC), MICRO (PC), PACT (ERC)
2012: IISWC (PC)


Awards

ISCA Hall of Fame (2020)
MICRO Hall of Fame (2019)


Publications

[Architecture & Cryogenic/Quantum]
A Fault-Tolerant Million Qubit-Scale Distributed Quantum Computer
Junpyo Kim, Dongmoon Min, Jungmin Cho, Hyeonseong Jeong, Ilkwon Byun, Junhyuk Choi, Juwon Hong, and Jangwoo Kim
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr 2024

[Modeling & Datacenter]
Fast, Lightweight, and Accurate Performance Evaluation using Representative Datacenter Behaviors
Jaewon Lee, Dongmoon Min, Ilkwon Byun, Hanhwi Jang, and Jangwoo Kim
ACM/IFIP International Middleware Conference (Middleware), Dec 2023

[Architecture & Server]
F4T: A Fast and Flexible FPGA-based Full-stack TCP Acceleration Framework
Junhyuk Boo, Yujin Chung, Eunjin Baek, Seongmin Na, Changsu Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2023

[Modeling & Architecture & Cryogenic/Quantum]
QIsim: Architecting 10+K Qubit QC Interfaces Toward Quantum Supremacy
Dongmoon Min, Junpyo Kim, Junhyuk Choi, Il-Kwon Byun, Masamitsu Tanaka, Koji Inoue, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2023

[Architecture & AI/Neuromorphic]
STfusion: Fast and Flexible Multi-NN Execution using Spatio-Temporal Block Fusion and Memory Management
Eunjin Baek, Eunbok Lee, Taehun Kang, and Jangwoo Kim
IEEE Transactions on Computers (TC), Apr 2023

[Architecture & AI/Neuromorphic]
A Fast and Flexible FPGA-based Accelerator for Natural Language Processing Neural Networks
Suyeon Hur, Seongmin Na, Dongup Kwon, Joonsung Kim, Jangwoo Kim, Andrew Boutros, and Eriko Nurvitadhi
ACM Transactions on Architecture and Code Optimization (TACO), Feb 2023

[Architecture & AI/Neuromorphic]
3D-FPIM: An Extreme Energy-Efficient DNN Acceleration System Using 3D NAND Flash-Based In-Situ PIM Unit
Hunjun Lee, Minseop Kim, Dongmoon Min, Joonsung Kim, Jongwon Back, Honam Yoo, Jongho Lee, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchiecture (MICRO), Oct 2022

[Modeling & Architecture & Cryogenic/Quantum]
XQsim: Modeling Cross-Technology Control Processors for 10+K Qubit Quantum Computers
Il-Kwon Byun, Junpyo Kim, Dongmoon Min, Ikki Nagaoka, Kosuke Fukumitsu, Iori Ishikawa, Teruo Tanimoto, Masamitsu Tanaka, Koji Inoue, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2022

[Modeling & Server]
LSim: Fine-Grained Simulation Framework for Large-Scale Performance Evaluation
Hamin Jang, Taehun Kang, Joonsung Kim, Jaeyong Cho, Jae-Eon Jo, Seungwook Lee, Wooseok Chang, Jangwoo Kim, and Hanhwi Jang
IEEE Computer Architecture Letters (CAL), Apr 2022

[Architecture & AI/Neuromorphic]
NeuroSync: A Scalable and Accurate Brain Simulation System Using Safe and Efficient Speculation
Hunjun Lee, Chanmyeong Kim, Minseop Kim, Yujin Chung, and Jangwoo Kim
IEEE International Symposium on High Performance Computer Architecture (HPCA), Apr 2022

[Architecture & Server]
SmartFVM: A Fast, Flexible, and Scalable Hardware-based Virtualization for Commodity Storage Devices
Dongup Kwon, Wonsik Lee, Dongryeong Kim, Junehyuk Boo, and Jangwoo Kim
ACM Transactions on Storage (TOS), Apr 2022

[Architecture & Cryogenic]
CryoWire: Wire-Driven Microarchitecture Designs for Cryogenic Computing
Dongmoon Min, Yujin Chung, Ilkwon Byun, Junpyo Kim, and Jangwoo Kim
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Feb 2022

[Architecture & AI/Neuromorphic]
DLS: A Fast and Flexible Neural Network Training System with Fine-Grained Heterogeneous Device Orchestration
Pyeongsu Park, Jaewon Lee, Heetaek Jeong, and Jangwoo Kim
IEEE Transactions on Parallel and Distributed Systems (TPDS), Jan 2022

[Security & Architecture]
UC-Check: Characterizing Micro-operation Caches in x86 Processors and Implications in Security and Performance
Joonsung Kim, Hamin Jang, Hunjun Lee, Seungho Lee, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchiecture (MICRO), Oct 2021

[Architecture & AI/Neuromorphic]
NLP-Fast: A Fast, Scalable, and Flexible System to Accelerate Large-Scale Heterogeneous NLP Models
Joonsung Kim, Suyeon Hur, Eunbok Lee, Seungho Lee, and Jangwoo Kim
IEEE International Conference on Parallel Architecture and Compilation Techniques (PACT), Sep 2021

[Architecture & AI/Neuromorphic]
An Accurate and Fair Evaluation Methodology for SNN-Based Inferencing with Full-Stack Hardware Design Space Explorations
Hunjun Lee, Chanmyeong Kim, Seungho Lee, Eunjin Baek, and Jangwoo Kim
Neurocomputing, Sep 2021

[Architecture & Server]
A Fast and Flexible Hardware-based Virtualization Mechanism for Computational Storage Devices
Dongup Kwon, Dongryeong Kim, Junehyuk Boo, Wonsik Lee, and Jangwoo Kim
USENIX Annual Technical Conference (ATC), Jul 2021

[Modeling & Storage]
Performance Modeling and Practical Use Cases for Black-Box SSDs
Joonsung Kim, Kanghyun Choi, Wonsik Lee, and Jangwoo Kim
ACM Transactions on Storage (TOS), Jun 2021

[Architecture & Cryogenic]
CryoGuard: A Near Refresh-Free Robust DRAM Design for Cryogenic Computing
Gyuhyeon Lee, Seongmin Na, Ilkwon Byun, Dongmoon Min, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2021

[Architecture & Cryogenic]
A Next-Generation Cryogenic Processor Architecture
Ilkwon Byun, Dongmoon Min, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim
IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences (IEEE Micro Top Picks), May/June 2021

[Architecture & Cryogenic]
Superconductor Computing for Neural Networks
Koki Ishida, Il-Kwon Byun, Ikki Nagaoka, Kosuke Fukumitsu, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Jangwoo Kim, and Koji Inoue
IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences (IEEE Micro Top Picks), May/June 2021

[Security & Architecture]
DiFuzzRTL: Differential Fuzz Testing to Find CPU Bugs
Jaewon Hur, Suhwan Song, Dongup Kwon, Eunjin Baek, Jangwoo Kim, and Byoungyoung Lee
IEEE International Symposium on Security and Privacy (S&P), May 2021

[Architecture & AI/Neuromorphic]
NeuroEngine: A Hardware-based Event-driven Simulation System for Advanced Brain-inspired Computing
Hunjun Lee, Chanmyeong Kim, Yujin Chung, and Jangwoo Kim
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr 2021

[Architecture & Server]
FVM: FPGA-assisted Virtual Device Emulation for Fast, Scalable, and Flexible Virtualization
Dongup Kwon, Junehyuk Boo, Dongryeong Kim, and Jangwoo Kim
USENIX Symposium on Operating Systems Design and Implementation (OSDI), Nov 2020

[Architecture & AI/Deep Learning]
TrainBox: An Extreme-Scale Neural Network Training Server by Systematically Balancing Operations
Pyeongsu Park, Heetaek Jeong, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2020

[Architecture & Cryogenic]
SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting Logic Devices
Koki Ishida, Il-Kwon Byun, Ikki Nagaoka, Kosuke Fukumitsu, Masamitsu Tanaka, Satoshi Kawakami,
Teruo Tanimoto, Takatsugu Ono, Jangwoo Kim, and Koji Inoue
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2020
(One of 12 computer architecture papers selected as 2020 Top Picks by IEEE Micro)

[Architecture & AI/Deep Learning]
Scalable Multi-FPGA Acceleration for Large RNNs with Full Parallelism Levels
Dongup Kwon, Suyeon Hur, Hamin Jang, Eriko Nurvitadhi, and Jangwoo Kim
ACM/IEEE Design Automation Conference (DAC), Jul 2020

[Architecture & Cryogenic]
CryoCore: A Fast and Dense Processor Architecture for Cryogenic Computing
Ilkwon Byun, Dongmoon Min, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2020
(One of 12 computer architecture papers of selected as 2020 Top Picks by IEEE Micro)

[Architecture & AI/Deep Learning]
A Multi-Neural Network Acceleration Architecture
Eunjin Baek, Dongup Kwon, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2020

[Architecture & Cryogenic]
CryoCache: A Fast, Large, and Cost-Effective Cache Architecture for Cryogenic Computing
Dongmoon Min, Ilkwon Byun, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar 2020

[Architecture & AI/Neuromorphic]
FlexLearn: Fast and Highly Efficient Brain Simulations Using Flexible On-Chip Learning
Eunjin Baek, Hunjun Lee, Youngsok Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2019

[Architecture & Storage]
FIDR: A Scalable Storage System for Fine-Grain Inline Data Reduction with Efficient Memory Handling
Mohammadamin Ajdari, Wonsik Lee, Pyeongsu Park, Joonsung Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2019

[Architecture & Memory]
Enforcing Last-Level Cache Partitioning through Memory Virtual Channels
Jungwook Chung, Yuhwan Ro, Joonsung Kim, Jaehyung Ahn, Jangwoo Kim, John Kim, Jae W. Lee, and Jung Ho Ahn
IEEE International Conference on Parallel Architecture and Compilation Techniques (PACT), Sep 2019

[Modeling & Cryogenic]
Cryogenic Computer Architecture Modeling with Memory-Side Case Studies
Gyuhyeon Lee, Dongmoon Min, Ilkwon Byun, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2019

[Architecture & AI/Deep Learning]
MnnFast: A Fast and Scalable System Architecture for Memory-Augmented Neural Networks
Hanhwi Jang, Joonsung Kim, Jae-Eon Jo, Jaewon Lee, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2019

[Architecture & AI/Deep Learning]
μLayer: Low Latency On-Device Inference Using Cooperative Single-Layer Acceleration and Processor-Friendly Quantization
Youngsok Kim, Joonsung Kim, Dongju Chae, Daehyun Kim, and Jangwoo Kim
ACM European Conference on Computer Systems (EuroSys), Mar 2019

[Architecture & Storage]
CIDR: A Cost-Effective In-line Data Reduction System for Terabit-per-Second Scale SSD Arrays
Mohammadamin Ajdari, Pyeongsu Park, Joonsung Kim, Dongup Kwon, and Jangwoo Kim
IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb 2019
(HPCA Best Paper Runner-Up)
(IEEE Micro Top Picks: Honorable Mention)

[Modeling & Simulation]
RpStacks-MT: A High-throughput Multi-core Processor Design Evaluation Methodology
Hanhwi Jang, Jae-Eon Jo, Jaewon Lee, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2018

[Modeling & Storage]
SSDcheck: Timely and Accurate Prediction of Irregular Behaviors in Black-Box SSDs
Joonsung Kim, Pyeongsu Park, Jaehyung Ahn, Jihun Kim, Jong Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2018

[System SW & Mobile]
DynaMix: Dynamic Mobile Device Integration for Efficient Cross-device Resource Sharing
Dongju Chae, Joonsung Kim, Gwangmu Lee, Hanjun Kim, Kyung-Ah Chang, Hyogun Lee, and Jangwoo Kim
USENIX Annual Technical Conference (ATC), Jul 2018

[Architecture & Server]
DCS-ctrl: A Fast and Flexible Device-Control Mechanism for Device-Centric Server Architecture
Dongup Kwon, Jaehyung Ahn, Dongju Chae, Mohammadamin Ajdari, Jaewon Lee, Suheon Bae, Youngsok Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2018

[Architecture & AI/Neuromorphic]
Flexon: A Flexible Digital Neuron for Efficient Spiking Neural Network Simulations
Dayeol Lee, Gwangmu Lee, Dongup Kwon, Sunghwa Lee, Youngsok Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2018

[Modeling & Simulation]
DiagSim: Systematically Diagnosing Simulators for Healthy Simulations
Jae-Eon Jo, Gyu-Hyeon Lee, Hanhwi Jang, Jaewon Lee, Mohammadamin Ajdari, and Jangwoo Kim
ACM Transactions of Architecture and Code Optimization (TACO), Mar 2018

[Modeling & Datacenter]
WSMeter: A Performance Evaluation Methodology for Google's Production Warehouse-Scale Computers
Jaewon Lee, Changkyu Kim, Kun Lin, Liqun Cheng, Rama Govindaraju, and Jangwoo Kim
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar 2018

[Modeling & Storage]
SSD Performance Modeling Using Bottleneck Analysis
Jihun Kim, Joonsung Kim, Pyeongsu Park, Jong Kim, and Jangwoo Kim
IEEE Computer Architecture Letters (CAL), Jan 2018

[Architecture & Storage]
A Scalable HW-Based Inline Deduplication for SSD Arrays
Mohammadamin Ajdari, Pyeongsu Park, Dongup Kwon, Joonsung Kim, and Jangwoo Kim
IEEE Computer Architecture Letters (CAL), Jan 2018

[Architecture & GPU]
GPUpd: A Fast and Scalable Multi-GPU Architecture Using Cooperative Projection and Distribution
Youngsok Kim, Jae-Eon Jo, Hanhwi Jang, Minsoo Rhu, Hanjun Kim, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Oct 2017

[Modeling & Workload]
StressRight: Finding the Right Stress for Accurate In-development System Evaluation
Jaewon Lee, Hanhwi Jang, Jae-eon Jo, Gyu-hyeon Lee, and Jangwoo Kim
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr 2017

[System SW & Mobile]
CloudSwap: A Cloud-Assisted Swap Mechanisms for Mobile Devices
Dongju Chae, Joonsung Kim, Youngsok Kim, Kyung-Ah Chang, Sang-Bum Suh, Hyogun Lee, and Jangwoo Kim
ACM/IEEE International Symposium on Cluster, Cloud and Grid Computing (CCGrid), May 2016

[System SW & Cloud]
DTStorage: Dynamic Tape-based Storage for Cost-effective and Highly-available Streaming Service
Jaewon Lee, Jaehyung Ahn, Choongul Park, and Jangwoo Kim
ACM/IEEE International Symposium on Cluster, Cloud and Grid Computing (CCGrid), May 2016

[Architecture & Memory]
Efficient Footprint Caching for Tagless DRAM Caches
Hakbeom Jang, Youngjun Lee, Jongwon Kim, Youngsok Kim, Jangwoo Kim, Jinkyu Jeong, and Jae W. Lee
IEEE International Symposium on High Performance Computer Architecture (HPCA), Mar 2016

[Architecture & Server]
DCS: A Fast and Scalable Device-Centric Server Architecture
Jaehyung Ahn, Dongup Kwon, Youngsok Kim, Mohammadamin Ajdari, Jaewon Lee, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Dec 2015

[Architecture & Memory]
A Fully Associative, Tagless DRAM Cache
Yongjun Lee, Jongwon Kim, Hakbeom Jang, Hyunggyun Yang, Jangwoo Kim, Jinkyu Jeong, and Jae W. Lee
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2015

[Modeling & Simulation]
RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks
Jaewon Lee, Hanhwi Jang, and Jangwoo Kim
ACM/IEEE International Symposium on Microarchitecture (MICRO), Dec 2014

[Architecture & Memory]
Microbank: Architecting Through-Silicon Interposer-Based Main-Memory Systems
Young Hoon Son, Seongil O, Hyunggyun Yang, Daejin Jung, Jung Ho Ahn, John Kim, Jangwoo Kim, and Jae W. Lee
ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis (SC), Nov 2014

[Security & GPU]
Stealing Webpages Rendered on Your Browser By Exploiting GPU Vulnerabilities
Sangho Lee, Youngsok Kim, Jangwoo Kim, and Jong Kim
IEEE International Symposium on Security and Privacy (S&P), May 2014

[System SW & Mobile]
CMcloud: Cloud Platform for Cost-Effective Offloading of Mobile Applications
Dongju Chae, Jihun Kim, Jangwoo Kim, Jong Kim, Seungjun Yang, Yeongpil Cho, Yongin Kwon, and Yunheung Paek
ACM/IEEE International Symposium on Cluster, Cloud and Grid Computing (CCGrid), May 2014

[Architecture & GPU]
GPUdmm: A High-Performance and Memory-Oblivious GPU Architecture Using Dynamic Memory Management
Youngsok Kim, Jaewon Lee, Jae-Eon Jo, and Jangwoo Kim
IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb 2014

[Architecture & Reliability]
Building Fast, Dense, Low-Power Caches Using Erasure-Based Inline Multi-Bit ECC
Jangwoo Kim, Mark McCartney, Mudit Bhargava, Hyunggyun Yang, Ken Mai, and Babak Falsafi
IEEE Pacific Rim International Symposium on Dependable Computing (PRDC), Dec 2013

[System SW & Cloud]
Guide-Copy: Fast and Silent Migration of Virtual Machine for Datacenters
Jihun Kim, Dongju Chae, Jangwoo Kim, and Jong Kim
ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis (SC), Nov 2013

[Architecture & GPU]
ScaleGPU: GPU Architecture for Memory-Unaware GPU Programming
Youngsok Kim, Jaewon Lee, Donggyu Kim, and Jangwoo Kim
IEEE Computer Architecture Letters (CAL), Jul 2013

[System SW & Cloud]
Scaling Hadoop for Multi-Core and Highly Threaded Systems
Jangwoo Kim, Denis Sheahan, Joe Gebis and Zoran Radovic
Yahoo Hadoop Summit, Santa Clara, CA, Jun 2009

[Architeture & Reliability]
Modeling SRAM Failure Rates to Enable Fast, Dense, Low-Power Caches
Jangwoo Kim, Mark McCartney, Ken Mai, and Babak Falsafi
IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE), Mar 2009

[Architeture & Reliability]
Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding
Jangwoo Kim, Nikos Hardavellas, Ken Mai, Babak Falsafi, and James C. Hoe
ACM/IEEE International Symposium on Microarchitecture (MICRO), Dec 2007

[Architeture & Reliability]
PAI: A Lightweight Mechanism for Single-Node Memory Recovery in DSM Servers
Jangwoo Kim, Jared C. Smolens, Babak Falsafi, and James C. Hoe
IEEE Pacific Rim International Symposium on Dependable Computing (PRDC), Dec 2007

[Architeture & Reliability]
TRUSS: A Reliable, Scalable Server Architecture
Brian T. Gold, Jangwoo Kim, Jared C. Smolens, Eric Chung, Vasileios Liaskovitis, Eriko Nurvitadhi,
Babak Falsafi, James C. Hoe and Andreas Nowatzyk
IEEE Micro Special Issue: Reliability-Aware Microarchitectures (IEEE Micro), Nov-Dec 2005

[Architecture & Memory]
Store-Ordered Streaming of Shared Memory
Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim,
Chris Gniady, Anastassia Ailamaki, and Babak Falsafi
ACM/IEEE International Conference on Parallel Architecture and Compilation Techniques (PACT), Oct 2005

[Architecture & Memory]
Temporal Streaming of Shared Memory
Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, and Babak Falsafi
ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun 2005

[Architeture & Reliability]
Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures
Jared C. Smolens, Jangwoo Kim, James C. Hoe, and Babak Falsafi
ACM/IEEE International Symposium on Microarchitecture (MICRO), Dec 2004


[Architeture & Reliability]
Fingerprinting: Bounding Soft-Error Detection Latency and Bandwidth
Jared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, and Andreas G. Nowatzyk
IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences (IEEE Micro Top Picks), Nov/Dec 2004

[Architeture & Reliability]
Fingerprinting: Bounding Soft-Error Detection Latency and Bandwidth
Jared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, and Andreas G. Nowatzyk
ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Oct 2004
(One of 13 computer architecture papers selected as 2004 Top Picks by IEEE Micro)

[Architecture & Memory]
Memory Coherence Activity Prediction in Commercial Workloads
Stephen Somogyi, Thomas F. Wenisch, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, and Babak Falsafi
Workshop on Memory Performance Issues (WMPI) (in conjunction with ISCA 04), Jun 2004

[Modeling & Simulation]
SimFlex: A Fast, Accurate, Flexible Full-System Simulation Framework for Performance Evaluation of Server Architecture
Nikolaos Hardavellas, Stephen Somogyi, Thomas F. Wenisch, Roland E. Wunderlich, Shelley Chen, Jangwoo Kim, Babak Falsafi, James C. Hoe, and Andreas G. Nowatzyk
ACM SIGMETRICS Performance Evaluation Review, Vol. 31, No. 4, pp. 31-35 (SIGMETRICS PER), Mar 2004