2024

  • A Fault-Tolerant Million Qubit-Scale Distributed Quantum Computer
    Junpyo Kim, Dongmoon Min, Jungmin Cho, Hyeonseong Jeong, Ilkwon Byun, Junhyuk Choi, Juwon Hong, and Jangwoo Kim
    29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2024

2023

  • Fast, Light-Weight, and Accurate Performance Evaluation using Representative Datacenter Behaviors
    Jaewon Lee, Dongmoon Min, Ilkwon Byun, Hanhwi Jang, and Jangwoo Kim
    2023 ACM/IFIP/USENIX International Middleware Conference (MIDDLEWARE), Dec. 2023.
  • F4T: A Fast and Flexible FPGA-based Full-stack TCP Acceleration Framework
    Junehyuk Boo, Yujin Chung, Eunjin Baek, Seongmin Na, Changsu Kim, and Jangwoo Kim
    50th ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun. 2023
  • QIsim: Architecting 10+K Qubit QC Interfaces Toward Quantum Supremacy
    Dongmoon Min, Junpyo Kim, Junhyuk Choi, Ilkwon Byun, Masamitsu Tanaka, Koji Inoue, and Jangwoo Kim
    50th ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun. 2023
  • STfusion: Fast and Flexible Multi-NN Execution using Spatio-Temporal Block Fusion and Memory Management
    Eunjin Baek, Eunbok Lee, Taehun Kang, and Jangwoo Kim
    IEEE Transactions on Computers (TC), Apr. 2023
  • A Fast and Flexible FPGA-based Accelerator for Natural Language Processing Neural Networks
    Suyeon Hur, Seongmin Na, Dongup Kwon, Joonsung Kim, Andrew Boutros, Eriko Nurvitadhi, and Jangwoo Kim
    ACM Transactions on Architecture and Code Optimization (TACO), vol. 20, Feb. 2023

2022

  • 3D-FPIM: An Extreme Energy-Efficient DNN Acceleration System Using 3D NAND Flash-Based In-Situ PIM Unit
    Hunjun Lee[1], Minseop Kim[1], Dongmoon Min, Joonsung Kim, Jongwon Back, Honam Yoo, Jongho Lee, and Jangwoo Kim
    55th IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2022
  • XQsim: Modeling Cross-Technology Control Processors for 10+K Qubit Quantum Computers
    Ilkwon Byun, Junpyo Kim, Dongmoon Min, Ikki Nagaoka, Kosuke Fukumitsu, Iori Ishikawa, Teruo Tanimoto, Masamitsu Tanaka, Koji Inoue, and Jangwoo Kim
    49th ACM/IEEE International Symposium on Computer Architecture (ISCA), Jun. 2022
  • LSim: Fine-Grained Simulation Framework for Large-Scale Performance Evaluation
    Hamin Jang[1], Taehun Kang[1], Joonsung Kim, Jaeyong Cho, Jae-Eon Jo, Seungwook Lee, Wooseok Chang, Jangwoo Kim, and Hanhwi Jang
    IEEE Computer Architecture Letters (CAL), Apr. 2022
  • NeuroSync: A Scalable and Accurate Brain Simulation System using Safe and Efficient Speculation
    Hunjun Lee[1], Chanmyeong Kim[1], Minseop Kim, Yujin Chung, and Jangwoo Kim
    28th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Apr. 2022
  • SmartFVM: A Fast, Flexible, and Scalable Hardware-based Virtualization for Commodity Storage Devices
    Dongup Kwon[1], Wonsik Lee[1], Dongryeong Kim, Junehyuk Boo, and Jangwoo Kim
    ACM Transactions on Storage (TOS), 2022
  • CryoWire: Wire-Driven Microarchitecture Designs for Cryogenic Computing
    Dongmoon Min[1], Yujin Chung[1], Ilkwon Byun, Junpyo Kim, and Jangwoo Kim
    27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Feb. 2022
  • DLS: A Fast and Flexible Neural Network Training System with Fine-grained Heterogeneous Device Orchestration
    Pyeongsu Park, Jaewon Lee, Heetaek Jeong, and Jangwoo Kim
    IEEE Transactions on Parallel and Distributed Systems (TPDS), Jan. 2022

2021

  • UC-Check: Characterizing Micro-operation Caches in x86 Processors and Implications in Security and Performance
    Joonsung Kim[1], Hamin Jang[1], Hunjun Lee, Seungho Lee, and Jangwoo Kim
    ACM/IEEE International Symposium on Microarchiecture (MICRO), Oct. 2021
  • NLP-Fast: A Fast, Scalable, and Flexible System to Accelerate Large-Scale Heterogeneous NLP Models
    Joonsung Kim, Suyeon Hur, Eunbok Lee, Seungho Lee, and Jangwoo Kim
    IEEE International Conference on Parallel Architecture and Compilation Techniques (PACT), Sep 2021
  • An Accurate and Fair Evaluation Methodology for SNN-Based Inferencing with Full-Stack Hardware Design Space Explorations
    Hunjun Lee, Chanmyeong Kim, Seungho Lee, Eunjin Baek, and Jangwoo Kim
    Neurocomputing, Sep 2021
  • A Fast and Flexible Hardware-based Virtualization Mechanism for Computational Storage Devices
    Dongup Kwon, Dongryeong Kim, Junehyuk Boo, Wonsik Lee, and Jangwoo Kim
    USENIX Annual Technical Conference (ATC), July. 2021
  • Performance Modeling and Practical Use Cases for Black-Box SSDs
    Joonsung Kim, Kanghyun Choi, Wonsik Lee, and Jangwoo Kim
    ACM Transactions on Storage (TOS), Jun. 2021
  • CryoGuard: A Near Refresh-Free Robust DRAM Design for Cryogenic Computing
    Gyuhyeon Lee, Seongmin Na, Ilkwon Byun, Dongmoon Min, and Jangwoo Kim
    48th ACM/IEEE International Symposium on Computer Architecture (ISCA), June. 2021
  • A Next-Generation Cryogenic Processor Architecture
    Ilkwon Byun[1], Dongmoon Min[1], Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim
    IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences (IEEE’s Micro Top Picks), May/June. 2021
  • Superconductor Computing for Neural Networks
    Koki Ishida[1], Ilkwon Byun[1], Ikki Nagaoka, Kosuke Fukumitsu, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Jangwoo Kim, and Koji Inoue
    IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences (IEEE’s Micro Top Picks), May/June. 2021
  • DiFuzzRTL: Differential Fuzz Testing to Find CPU Bugs
    Jaewon Hur, Suhwan Song, Dongup Kwon, Eunjin Baek, Jangwoo Kim, and Byoungyoung Lee
    IEEE Symposium on Security and Privacy (S&P), May 2021
  • NeuroEngine: A Hardware-Based Event-Driven Simulation System for Advanced Brain-Inspired Computing
    Hunjun Lee[1], Chanmyeong Kim[1], Yujin Chung, and Jangwoo Kim
    26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Apr. 2021

2020

  • FVM: FPGA-assisted Virtual Device Emulation for Fast, Scalable, and Flexible Storage Virtualization
    Dongup Kwon, Junehyuk Boo, Dongryeong Kim, and Jangwoo Kim
    14th USENIX Symposium on Operating Systems Design and Implementation (OSDI), Nov. 2020
  • TrainBox: An Extreme-Scale Neural Network Training Server Architecture by Systematically Balancing Operations
    Pyeongsu Park, Heetaek Jeong, and Jangwoo Kim
    53rd IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2020
  • SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting Logic Devices
    Koki Ishida[1], Ilkwon Byun[1], Ikki Nagaoka, Kosuke Fukumitsu, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Jangwoo Kim, and Koji Inoue
    53rd IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2020
  • CryoCore: A Fast and Dense Processor Architecture for Cryogenic Computing
    Ilkwon Byun[1], Dongmoon Min[1], Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim
    47th ACM/IEEE International Symposium on Computer Architecture (ISCA), June. 2020
  • A Multi-Neural Network Acceleration Architecture
    Eunjin Baek, Dongup Kwon, and Jangwoo Kim
    47th ACM/IEEE International Symposium on Computer Architecture (ISCA), June. 2020
  • Scalable Multi-FPGA Acceleration for Large RNNs with Full Parallelism Levels
    Dongup Kwon, Suyeon Hur, Hamin Jang, Eriko Nurvitadhi, and Jangwoo Kim
    ACM/ESDA/IEEE Design Automation Conference (DAC), Jul. 2020
  • CryoCache: A Fast, Large, and Cost-Effective Cache Architecture for Cryogenic Computing
    Dongmoon Min, Ilkwon Byun, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim
    25th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar. 2020

2019

  • FIDR: A Scalable Storage System for Fine-Grain Inline Data Reduction with Efficient Memory Handling
    Mohammadamin Ajdari, Wonsik Lee, Pyeongsu Park, Joonsung Kim, and Jangwoo Kim
    52nd IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2019
  • FlexLearn: Fast and Highly Efficient Brain Simulations Using Flexible On-Chip Learning
    Eunjin Baek[1], Hunjun Lee[1], Youngsok Kim, and Jangwoo Kim
    52nd IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2019
  • Cryogenic Computer Architecture Modeling with Memory-Side Case Studies
    Gyuhyeon Lee[1], Dongmoon Min[1], Ilkwon Byun[1], and Jangwoo Kim
    46th ACM/IEEE International Symposium on Computer Architecture (ISCA), June. 2019
  • MnnFast: A Fast and Scalable System Architecture for Memory-Augmented Neural Networks
    Hanhwi Jang[1], Joonsung Kim[1], Jae-Eon Jo, Jaewon Lee, and Jangwoo Kim
    46th ACM/IEEE International Symposium on Computer Architecture (ISCA), June. 2019
  • Why Compete When You Can Work Together: FPGA-ASIC Integration for Persistent RNNs
    Eriko Nurvitadhi, Dongup Kwon, Ali Jafari, Andrew Boutros, Jaewoong Sim, Phillip Tomson, Huseyin Sumbul, Gregory Chen, Phil Knag, Raghavan Kumar, Ram Krishnamurthy, Sergey Gribok, Bogdan Pasca, Martin Langhammer, Debbie Marr, and Aravind Dasu
    27th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr. 2019  
  • μLayer: Low Latency On-Device Inference Using Cooperative Single-Layer Acceleration and Processor-Friendly Quantization
    Youngsok Kim, Joonsung Kim, Dongju Chae, Daehyun Kim, and Jangwoo Kim
    14th ACM European Conference on Computer Systems (EuroSys), Mar. 2019
  • Evaluating and Enhancing Intel® Stratix® 10 FPGAs for Persistent Real-Time AI
    Eriko Nurvitadhi, Dongup Kwon, Ali Jafari, Andrew Boutros, Jaewoong Sim, Phillip Tomson, Huseyin Sumbul, Gregory Chen, Phil Knag, Raghavan Kumar, Ram Krishnamurthy, Debbie Marr, Sergey Gribok, Bogdan Pasca, Martin Langhammer, Aravind Dasu
    27th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), Feb. 2019  
  • CIDR: A Cost-Effective In-line Data Reduction System for Terabit-per-Second Scale SSD Arrays
    Mohammadamin Ajdari, Pyeongsu Park, Joonsung Kim, Dongup Kwon, and Jangwoo Kim
    25th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb. 2019

2018

  • RpStacks-MT: A High-throughput Multi-core Processor Design Evaluation Methodology
    Hanhwi Jang, Jae-Eon Jo, Jaewon Lee, and Jangwoo Kim
    51th IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2018
  • SSDcheck: Timely and Accurate Prediction of Irregular Behaviors in Black-Box SSDs
    Joonsung Kim, Pyeongsu Park, Jaehyung Ahn, Jihun Kim, Jong Kim, and Jangwoo Kim
    51th IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2018
  • DynaMix: Dynamic Mobile Device Integration for Efficient Cross-device Resource Sharing
    Dongju Chae, Joonsung Kim, Gwangmu Lee, Hanjun Kim, Kyung-Ah Chang, Hyogun Lee, and Jangwoo Kim
    2018 USENIX Annual Technical Conference (USENIX ATC), July 2018
  • DCS-ctrl: A Fast and Flexible Device-Control Mechanism for Device-Centric Server Architecture
    Dongup Kwon[1], Jaehyung Ahn[1], Dongju Chae, Mohammadamin Ajdari, Jaewon Lee, Suheon Bae, Youngsok Kim, and Jangwoo Kim
    45th ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2018
  • Flexon: A Flexible Digital Neuron for Efficient Spiking Neural Network Simulations
    Dayeol Lee, Gwangmu Lee, Dongup Kwon, Sunghwa Lee, Youngsok Kim, and Jangwoo Kim
    45th ACM/IEEE International Symposium on Computer Architecture (ISCA), June 2018
  • DiagSim: Systematically Diagnosing Simulators for Healthy Simulations
    Jae-Eon Jo, Gyu-Hyeon Lee, Hanhwi Jang, Jaewon Lee, Mohammadamin Ajdari, and Jangwoo Kim
    ACM Transactions on Architecture and Code Optimization (TACO), vol. 15, Apr. 2018
  • WSMeter: A Performance Evaluation Methodology for Google’s Production Warehouse-Scale Computers
    Jaewon Lee, Changkyu Kim, Kun Lin, Liqun Cheng, Rama Govindaraju, and Jangwoo Kim
    23rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar. 2018
  • Google Workloads for Consumer Devices: Mitigating Data Movement Bottlenecks
    Amirali Boroumand, Saugata Ghose, Youngsok Kim, Rachata Ausavarungnirun, Eric Shiu, Rahul Thakur, Daehyun Kim, Aki Kuusela, Allan Knies, Parthasarathy Ranganathan, and Onur Mutlu
    23rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar. 2018
  • SSD Performance Modeling Using Bottleneck Analysis
    Jihun Kim, Joonsung Kim, Pyeongsu Park, Jong Kim, and Jangwoo Kim
    IEEE Computer Architecture Letters (CAL), vol. 17, Jan.-June 2018
  • A Scalable HW-Based Inline Deduplication for SSD Arrays
    Mohammadamin Ajdari[1], Pyeongsu Park[1], Dongup Kwon, Joonsung Kim, and Jangwoo Kim
    IEEE Computer Architecture Letters (CAL), vol. 17, Jan.-June 2018

2017

  • GPUpd: A Fast and Scalable Multi-GPU Architecture Using Cooperative Projection and Distribution
    Youngsok Kim, Jae-Eon Jo, Hanhwi Jang, Minsoo Rhu, Hanjun Kim, and Jangwoo Kim
    50th IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2017
  • StressRight: Finding the Right Stress for Accurate In-development System Evaluation
    Jaewon Lee, Hanhwi Jang, Jae-eon Jo, Gyu-hyeon Lee, and Jangwoo Kim
    2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr. 2017

2016

  • CloudSwap: A Cloud-Assisted Swap Mechanism for Mobile Devices
    Dongju Chae, Joonsung Kim, Youngsok Kim, Kyung-Ah Chang, Sang-Bum Suh, Hyogun Lee, and Jangwoo Kim
    16th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGrid), May 2016
  • DTStorage: Dynamic Tape-based Storage for Cost-effective and Highly-available Streaming Service
    Jaewon Lee, Jaehyung Ahn, Choongul Park, and Jangwoo Kim
    16th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGrid), May 2016
  • Efficient Footprint Caching for Tagless DRAM Caches
    Hakbeom Jang, Yongjun Lee, Jongwon Kim, Youngsok Kim, Jangwoo Kim, Jinkyu Jeong, and Jae W. Lee
    22nd IEEE International Symposium on High Performance Computer Architecture (HPCA), Mar. 2016

2015

  • DCS: A Fast and Scalable Device-Centric Server Architecture
    Jaehyung Ahn[1], Dongup Kwon[1], Youngsok Kim, Mohammadamin Ajdari, Jaewon Lee, and Jangwoo Kim
    48th IEEE/ACM International Symposium on Microarchitecture (MICRO), Dec. 2015
  • A Fully Associative, Tagless DRAM Cache
    Yongjun Lee, Jongwon Kim, Hakbeom Jang, Hyunggyun Yang, Jangwoo Kim, Jinkyu Jeong, and Jae W. Lee
    42nd International Symposium on Computer Architecture (ISCA), June 2015

2014

  • RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks
    Jaewon Lee[1], Hanhwi Jang[1], and Jangwoo Kim
    47th IEEE/ACM International Symposium on Microarchitecture (MICRO), Dec. 2014
  • Microbank: Architecting Through-Silicon Interposer-Based Main-Memory Systems
    Young Hoon Son, Seongil O, Hyunggyun Yang, Daejin Jung, Jung Ho Ahn, Jangwoo Kim, John Kim, and Jae W. Lee
    26th International Conference for High Performance Computing, Networking, Storage and Analysis (SC), Nov. 2014
  • CMcloud: Cloud Platform for Cost-Effective Offloading of Mobile Applications
    Dongju Chae, Jihun Kim, Jangwoo Kim, Jong Kim, Seungjun Yang, Yeongpil Cho, Yongin Kwon, and Yunheung Paek
    14th IEEE/ACM International Sympsium on Cluster, Cloud and Grid Computing (CCGrid), May 2014
  • Stealing Webpages Rendered on Your Browser by Exploiting GPU Vulnerabilities
    Sangho Lee, Youngsok Kim, Jangwoo Kim, and Jong Kim
    35th IEEE Symposium on Security and Privacy (S&P), May 2014
  • GPUdmm: A High-Performance and Memory-Oblivious GPU Architecture Using Dynamic Memory Management
    Youngsok Kim, Jaewon Lee, Jae-Eon Jo, and Jangwoo Kim
    20th IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb. 2014
  • ScaleGPU: GPU Architecture for Memory-Unaware GPU Programming
    Youngsok Kim, Jaewon Lee, Donggyu Kim, and Jangwoo Kim
    IEEE Computer Architecture Letters (CAL), vol. 13, July-Dec. 2014

2013

  • Building Fast, Dense, Low-Power Caches Using Erasure-Based Inline Multi-Bit ECC
    Jangwoo Kim, Mark McCartney, Mudit Bhargava, Hyunggyun Yang, Ken Mai, and Babak Falsafi
    19th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC), Dec. 2013
  • Guide-Copy: Fast and Silent Migration of Virtual Machine for Datacenters
    Jihun Kim, Dongju Chae, Jangwoo Kim, and Jong Kim
    25th International Conference for High Performance Computing, Networking, Storage and Analysis (SC), Nov. 2013
  • LINQits: Big Data on Little Clients
    Eric Chung, John Davis, and Jaewon Lee
    40th International Symposium on Computer Architecture (ISCA), June 2013